Commit 90e0fe67 by René

cg2

parent 8b732476
Showing with 55 additions and 2 deletions
......@@ -39,8 +39,8 @@ initial begin
wait (clk);
$display("Coverage (instance): %3.2f", cg_inst.get_inst_coverage());
$display("Coverage (pb1): %3.2f", cg_inst.pb1.get_coverage());
$display("%3.2f %%: Coverage (instance)", cg_inst.get_inst_coverage());
$display("%3.2f %%: Coverage (pb1)", cg_inst.pb1.get_coverage());
$stop;
......
`timescale 1ps/1ps
module TB_CG1(
);
reg clk, rst;
reg [1:0] A, B;
covergroup cg @(posedge clk);
pb1: coverpoint A;
pb2: coverpoint B;
endgroup
cg cg_inst;
initial begin
clk = 0;
end
always #5 clk = ~clk;
initial begin
cg_inst = new();
rst = 1;
A = 0; B = 0;
#10
rst = 0;
#10
A = 0; B = 2;
#10
A = 1; B = 3;
#10
A = 3; B = 1;
#10
wait (clk);
$display("%3.2f %%: Coverage (instance)", cg_inst.get_inst_coverage());
$display("%3.2f %%: Coverage (pb1)", cg_inst.pb1.get_coverage());
$display("%3.2f %%: Coverage (pb2)", cg_inst.pb2.get_coverage());
$stop;
end
endmodule
\ No newline at end of file
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or sign in to comment