Commit 6d136b48 by René

CG4: fix

parent 4fa792dd
Showing with 1 additions and 1 deletions
...@@ -8,7 +8,7 @@ int A, B; ...@@ -8,7 +8,7 @@ int A, B;
covergroup cg @(posedge clk); covergroup cg @(posedge clk);
pb1: coverpoint A { pb1: coverpoint A {
bins bb[] = {0:3}; bins bb[] = {0,1,2,3};
}; };
pb2: coverpoint B; pb2: coverpoint B;
pb1x2: cross A,B; pb1x2: cross A,B;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or sign in to comment