Commit 45d31415 by René

fix

parent 66d6af33
Showing with 1 additions and 1 deletions
......@@ -10,7 +10,7 @@ covergroup cg @(posedge clk);
pb1: coverpoint A;
endgroup
cg cg_inst
cg cg_inst;
initial begin
clk = 0;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or sign in to comment