Commit 47464b33 by René

init units and bins, test 5

parent 964ee93f
Showing with 7 additions and 11 deletions
......@@ -21,20 +21,15 @@ covergroup cg @(posedge clk);
bins S_DIV = {4};
}
// c11: coverpoint STATE_C { option.auto_bin_max = 1; }
// c2: coverpoint CALC_TB.calc_dut.STATE_C {
// bins S_IDLE = {0};
// bins S_ADD = {1};
// bins S_SUB = {2};
// bins S_MUL = {3};
// bins S_DIV = {4};
c2: coverpoint CALC_TB.calc_dut {
bins a = {[CALC_TB.calc_dut:37]};
bins b = {[CALC_TB.calc_dut:38]};
}
// }
endgroup: cg
covergroup cg_lines @(posedge clk);
bins cov_lines[] = (0 => "calc_logic.sv:37", 1 => "calc_logic.sv:38", 2 => "calc_logic.sv:39");
coverpoint cov_lines[*];
endgroup
cg cover_inst = new; // instatiate the cover model
cg_lines cover_lines = new; // instatiate the cover model
initial begin
clk = 0;
......@@ -100,6 +95,7 @@ initial begin
wait (valid);
$display("Coverage: %.2f, %.2f", cg::get_coverage(), cover_inst.get_coverage());
$display("Statement coverage: %.2f, %.2f", cg_lines::get_coverage(), cover_lines.get_coverage());
$stop;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or sign in to comment