Commit 964ee93f by René

init units and bins, test 4

parent 381a0c4f
Showing with 2 additions and 2 deletions
......@@ -28,8 +28,8 @@ covergroup cg @(posedge clk);
// bins S_MUL = {3};
// bins S_DIV = {4};
c2: coverpoint CALC_TB.calc_dut {
bins a = {CALC_TB.calc_dut:37};
bins b = {CALC_TB.calc_dut:38};
bins a = {[CALC_TB.calc_dut:37]};
bins b = {[CALC_TB.calc_dut:38]};
}
// }
endgroup: cg
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or sign in to comment