Commit 381a0c4f by René

init units and bins, test 3

parent d06a2651
Showing with 10 additions and 6 deletions
...@@ -21,13 +21,17 @@ covergroup cg @(posedge clk); ...@@ -21,13 +21,17 @@ covergroup cg @(posedge clk);
bins S_DIV = {4}; bins S_DIV = {4};
} }
// c11: coverpoint STATE_C { option.auto_bin_max = 1; } // c11: coverpoint STATE_C { option.auto_bin_max = 1; }
c2: coverpoint CALC_TB.calc_dut.STATE_C { // c2: coverpoint CALC_TB.calc_dut.STATE_C {
bins S_IDLE = {0}; // bins S_IDLE = {0};
bins S_ADD = {1}; // bins S_ADD = {1};
bins S_SUB = {2}; // bins S_SUB = {2};
bins S_MUL = {3}; // bins S_MUL = {3};
bins S_DIV = {4}; // bins S_DIV = {4};
c2: coverpoint CALC_TB.calc_dut {
bins a = {CALC_TB.calc_dut:37};
bins b = {CALC_TB.calc_dut:38};
} }
// }
endgroup: cg endgroup: cg
cg cover_inst = new; // instatiate the cover model cg cover_inst = new; // instatiate the cover model
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or sign in to comment