Commit e4b0758e by René

init units and bins, test

parent 2abf719b
Showing with 1 additions and 0 deletions
......@@ -20,6 +20,7 @@ covergroup cg @(posedge clk);
bins S_MUL = {3};
bins S_DIV = {4};
}
c11: coverpoint STATE_C { option.auto_bin_max = 5; }
// c2: coverpoint calc_dut.STATE_C {
// bins S_IDLE = {0};
// bins S_ADD = {1};
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or sign in to comment