Commit d06a2651 by René

init units and bins, test 2

parent 91d0ae46
Showing with 8 additions and 8 deletions
...@@ -20,14 +20,14 @@ covergroup cg @(posedge clk); ...@@ -20,14 +20,14 @@ covergroup cg @(posedge clk);
bins S_MUL = {3}; bins S_MUL = {3};
bins S_DIV = {4}; bins S_DIV = {4};
} }
c11: coverpoint STATE_C { option.auto_bin_max = 1; } // c11: coverpoint STATE_C { option.auto_bin_max = 1; }
// c2: coverpoint calc_dut.STATE_C { c2: coverpoint CALC_TB.calc_dut.STATE_C {
// bins S_IDLE = {0}; bins S_IDLE = {0};
// bins S_ADD = {1}; bins S_ADD = {1};
// bins S_SUB = {2}; bins S_SUB = {2};
// bins S_MUL = {3}; bins S_MUL = {3};
// bins S_DIV = {4}; bins S_DIV = {4};
// } }
endgroup: cg endgroup: cg
cg cover_inst = new; // instatiate the cover model cg cover_inst = new; // instatiate the cover model
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or sign in to comment