Commit b5df5e74 by René

init units and bins

parent 87ec6b59
Showing with 8 additions and 1 deletions
......@@ -13,7 +13,14 @@ parameter OP_IDLE = 0, OP_ADD = 1, OP_SUB = 2, OP_MUL = 3, OP_DIV = 4;
CALC_logic calc_dut (clk, rst, calc, A, B, OP, STATE_C, C, valid);
covergroup cg @(posedge clk);
c1: coverpoint STATE_C;
c1: coverpoint STATE_C {
bins S_IDLE = {0};
bins S_ADD = {1};
bins S_SUB = {2};
bins S_MUL = {3};
bins S_DIV = {4};
};
c2: coverpoint $unit.calc_dut.STATE_C;
endgroup: cg
cg cover_inst = new; // instatiate the cover model
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or sign in to comment