Commit 9cc29278 by René

init covergroup: print

parent 93ed2926
Showing with 4 additions and 0 deletions
...@@ -16,6 +16,8 @@ covergroup cg @(posedge clk); ...@@ -16,6 +16,8 @@ covergroup cg @(posedge clk);
c1: coverpoint STATE_C; c1: coverpoint STATE_C;
endgroup: cg endgroup: cg
cg cover_inst = new; // instatiate the cover model
initial begin initial begin
clk = 0; clk = 0;
end end
...@@ -79,6 +81,8 @@ initial begin ...@@ -79,6 +81,8 @@ initial begin
wait (valid); wait (valid);
$$display("Coverage: %.2f, %.2f", cg::get_coverage(), cover_inst.get_coverage());
$stop; $stop;
end end
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or sign in to comment