Commit 2160d59d by René

init units and bins, test 7

parent ba8caeff
Showing with 1 additions and 1 deletions
......@@ -26,7 +26,7 @@ endgroup: cg
covergroup cg_lines @(posedge clk);
//
coverpoint cov_lines {
bins cov_lines[] = (0 => "calc_logic.sv:37", 1 => "calc_logic.sv:38", 2 => "calc_logic.sv:39");
bins c_lines[] = (0 => "calc_logic.sv:37", 1 => "calc_logic.sv:38", 2 => "calc_logic.sv:39");
}
endgroup
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or sign in to comment